NAME

clkmux2 from sky130_fd_sc_hdll

DESCRIPTION

Clock mux.

FUNCTION

VERILOG

"sky130_fd_sc_hdll__clkmux2"
/*
*/


`ifndef SKY130_FD_SC_HDLL__CLKMUX2_FUNCTIONAL_V
`define SKY130_FD_SC_HDLL__CLKMUX2_FUNCTIONAL_V

/**

`timescale 1ns / 1ps
`default_nettype none

// Import user defined primitives.
`include "../../models/udp_mux_2to1/sky130_fd_sc_hdll__udp_mux_2to1.v"

`celldefine
module sky130_fd_sc_hdll__clkmux2 (
    X ,
    A0,
    A1,
    S
);

    // Module ports
    output X ;
    input  A0;
    input  A1;
    input  S ;

    // Local signals
    wire mux_2to10_out_X;

    //                              Name       Output           Other arguments
    sky130_fd_sc_hdll__udp_mux_2to1 mux_2to10 (mux_2to10_out_X, A0, A1, S      );
    buf                             buf0      (X              , mux_2to10_out_X);

endmodule
`endcelldefine

`default_nettype wire
`endif  // SKY130_FD_SC_HDLL__CLKMUX2_FUNCTIONAL_V

SPICE

LAYOUT

sky130_fd_sc_hdll__clkmux2_1

not to scale



.subckt sky130_fd_sc_hdll__clkmux2_1 A0 A1 S VGND VNB VPB VPWR X
X0 VPWR S a_649_21# VPB sky130_fd_pr__pfet_01v8_hvt w=940000u l=180000u
X1 a_243_309# A0 a_79_21# VPB sky130_fd_pr__pfet_01v8_hvt w=940000u l=180000u
X2 X a_79_21# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X3 VPWR S a_243_309# VPB sky130_fd_pr__pfet_01v8_hvt w=940000u l=180000u
X4 a_79_21# A1 a_599_309# VPB sky130_fd_pr__pfet_01v8_hvt w=940000u l=180000u
X5 VGND S a_649_21# VNB sky130_fd_pr__nfet_01v8 w=520000u l=150000u
X6 a_79_21# A0 a_478_47# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X7 a_478_47# a_649_21# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X8 VGND S a_245_47# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X9 X a_79_21# VGND VNB sky130_fd_pr__nfet_01v8 w=520000u l=150000u
X10 a_245_47# A1 a_79_21# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X11 a_599_309# a_649_21# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=940000u l=180000u
.ends

sky130_fd_sc_hdll__clkmux2_2

not to scale


.subckt sky130_fd_sc_hdll__clkmux2_2 A0 A1 S VGND VNB VPB VPWR X
X0 VGND S a_337_47# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X1 X a_79_199# VGND VNB sky130_fd_pr__nfet_01v8 w=520000u l=150000u
X2 a_337_47# A1 a_79_199# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X3 a_79_199# A1 a_691_309# VPB sky130_fd_pr__pfet_01v8_hvt w=940000u l=180000u
X4 VGND a_79_199# X VNB sky130_fd_pr__nfet_01v8 w=520000u l=150000u
X5 VPWR a_79_199# X VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X6 a_691_309# a_741_21# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=940000u l=180000u
X7 VPWR S a_335_309# VPB sky130_fd_pr__pfet_01v8_hvt w=940000u l=180000u
X8 VPWR S a_741_21# VPB sky130_fd_pr__pfet_01v8_hvt w=940000u l=180000u
X9 a_79_199# A0 a_570_47# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X10 a_335_309# A0 a_79_199# VPB sky130_fd_pr__pfet_01v8_hvt w=940000u l=180000u
X11 a_570_47# a_741_21# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X12 X a_79_199# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X13 VGND S a_741_21# VNB sky130_fd_pr__nfet_01v8 w=520000u l=150000u
.ends

sky130_fd_sc_hdll__clkmux2_4

not to scale


.subckt sky130_fd_sc_hdll__clkmux2_4 A0 A1 S VGND VNB VPB VPWR X
X0 VPWR S a_523_309# VPB sky130_fd_pr__pfet_01v8_hvt w=940000u l=180000u
X1 X a_79_199# VGND VNB sky130_fd_pr__nfet_01v8 w=520000u l=150000u
X2 a_523_309# A0 a_79_199# VPB sky130_fd_pr__pfet_01v8_hvt w=940000u l=180000u
X3 VGND a_79_199# X VNB sky130_fd_pr__nfet_01v8 w=520000u l=150000u
X4 a_79_199# A0 a_754_47# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X5 VPWR a_79_199# X VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X6 a_79_199# A1 a_875_309# VPB sky130_fd_pr__pfet_01v8_hvt w=940000u l=180000u
X7 a_754_47# a_925_21# VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X8 X a_79_199# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X9 VPWR a_79_199# X VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X10 X a_79_199# VGND VNB sky130_fd_pr__nfet_01v8 w=520000u l=150000u
X11 VGND S a_525_47# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X12 a_875_309# a_925_21# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=940000u l=180000u
X13 VGND a_79_199# X VNB sky130_fd_pr__nfet_01v8 w=520000u l=150000u
X14 X a_79_199# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X15 VPWR S a_925_21# VPB sky130_fd_pr__pfet_01v8_hvt w=940000u l=180000u
X16 a_525_47# A1 a_79_199# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X17 VGND S a_925_21# VNB sky130_fd_pr__nfet_01v8 w=520000u l=150000u
.ends