NAME

and4bb from sky130_fd_sc_hdll

DESCRIPTION

4-input AND, first two inputs inverted.

FUNCTION

VERILOG

"sky130_fd_sc_hdll__and4bb"
/*
*/


`ifndef SKY130_FD_SC_HDLL__AND4BB_FUNCTIONAL_V
`define SKY130_FD_SC_HDLL__AND4BB_FUNCTIONAL_V

/**

`timescale 1ns / 1ps
`default_nettype none

`celldefine
module sky130_fd_sc_hdll__and4bb (
    X  ,
    A_N,
    B_N,
    C  ,
    D
);

    // Module ports
    output X  ;
    input  A_N;
    input  B_N;
    input  C  ;
    input  D  ;

    // Local signals
    wire nor0_out  ;
    wire and0_out_X;

    //  Name  Output      Other arguments
    nor nor0 (nor0_out  , A_N, B_N       );
    and and0 (and0_out_X, nor0_out, C, D );
    buf buf0 (X         , and0_out_X     );

endmodule
`endcelldefine

`default_nettype wire
`endif  // SKY130_FD_SC_HDLL__AND4BB_FUNCTIONAL_V

SPICE

LAYOUT

sky130_fd_sc_hdll__and4bb_1

not to scale



.subckt sky130_fd_sc_hdll__and4bb_1 A_N B_N C D VGND VNB VPB VPWR X
X0 a_615_93# D VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X1 a_511_93# C a_615_93# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X2 VGND a_339_93# X VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X3 VPWR C a_339_93# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=180000u
X4 a_339_93# D VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=180000u
X5 VPWR B_N a_225_413# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=180000u
X6 a_339_93# a_27_47# a_425_93# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X7 a_425_93# a_225_413# a_511_93# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X8 a_27_47# A_N VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X9 VPWR a_339_93# X VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X10 a_27_47# A_N VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=180000u
X11 VPWR a_27_47# a_339_93# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=180000u
X12 a_339_93# a_225_413# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=180000u
X13 VGND B_N a_225_413# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
.ends

sky130_fd_sc_hdll__and4bb_2

not to scale


.subckt sky130_fd_sc_hdll__and4bb_2 A_N B_N C D VGND VNB VPB VPWR X
X0 a_27_47# A_N VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=180000u
X1 VGND a_184_21# X VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X2 a_602_47# C a_699_47# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X3 a_184_21# D VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=180000u
X4 VPWR a_27_47# a_184_21# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=180000u
X5 VGND B_N a_545_280# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X6 X a_184_21# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X7 a_503_47# a_545_280# a_602_47# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X8 a_184_21# a_27_47# a_503_47# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X9 VPWR a_184_21# X VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X10 a_27_47# A_N VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X11 a_184_21# a_545_280# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=180000u
X12 VPWR C a_184_21# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=180000u
X13 X a_184_21# VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X14 VPWR B_N a_545_280# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=180000u
X15 a_699_47# D VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
.ends

sky130_fd_sc_hdll__and4bb_4

not to scale


.subckt sky130_fd_sc_hdll__and4bb_4 A_N B_N C D VGND VNB VPB VPWR X
X0 a_27_47# B_N VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=180000u
X1 VGND a_184_21# X VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X2 VPWR a_27_47# a_184_21# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X3 VPWR a_184_21# X VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X4 VGND a_184_21# X VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X5 a_184_21# C VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X6 VGND A_N a_912_21# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X7 VPWR A_N a_912_21# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=180000u
X8 VGND D a_606_47# VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X9 a_836_47# a_912_21# a_184_21# VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X10 X a_184_21# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X11 VPWR a_184_21# X VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X12 a_27_47# B_N VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X13 a_719_47# a_27_47# a_836_47# VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X14 X a_184_21# VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X15 X a_184_21# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X16 VPWR D a_184_21# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X17 X a_184_21# VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X18 a_184_21# a_912_21# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X19 a_606_47# C a_719_47# VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
.ends