NAME

and4b from sky130_fd_sc_hdll

DESCRIPTION

4-input AND, first input inverted.

FUNCTION

VERILOG

"sky130_fd_sc_hdll__and4b"
/*
*/


`ifndef SKY130_FD_SC_HDLL__AND4B_FUNCTIONAL_V
`define SKY130_FD_SC_HDLL__AND4B_FUNCTIONAL_V

/**

`timescale 1ns / 1ps
`default_nettype none

`celldefine
module sky130_fd_sc_hdll__and4b (
    X  ,
    A_N,
    B  ,
    C  ,
    D
);

    // Module ports
    output X  ;
    input  A_N;
    input  B  ;
    input  C  ;
    input  D  ;

    // Local signals
    wire not0_out  ;
    wire and0_out_X;

    //  Name  Output      Other arguments
    not not0 (not0_out  , A_N              );
    and and0 (and0_out_X, not0_out, B, C, D);
    buf buf0 (X         , and0_out_X       );

endmodule
`endcelldefine

`default_nettype wire
`endif  // SKY130_FD_SC_HDLL__AND4B_FUNCTIONAL_V

SPICE

LAYOUT

sky130_fd_sc_hdll__and4b_1

not to scale



.subckt sky130_fd_sc_hdll__and4b_1 A_N B C D VGND VNB VPB VPWR X
X0 a_213_413# a_27_47# a_307_47# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X1 a_27_47# A_N VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=180000u
X2 VPWR C a_213_413# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=180000u
X3 VPWR a_213_413# X VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X4 a_213_413# D VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=180000u
X5 a_213_413# B VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=180000u
X6 VGND a_213_413# X VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X7 a_27_47# A_N VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X8 VPWR a_27_47# a_213_413# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=180000u
X9 a_307_47# B a_379_47# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X10 a_379_47# C a_509_47# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X11 a_509_47# D VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
.ends

sky130_fd_sc_hdll__and4b_2

not to scale


.subckt sky130_fd_sc_hdll__and4b_2 A_N B C D VGND VNB VPB VPWR X
X0 a_27_413# A_N VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=180000u
X1 VGND a_211_413# X VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X2 VPWR a_211_413# X VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X3 a_317_47# B a_399_47# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X4 X a_211_413# VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X5 X a_211_413# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X6 VPWR a_27_413# a_211_413# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=180000u
X7 a_519_47# D VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X8 VGND A_N a_27_413# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X9 a_399_47# C a_519_47# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X10 a_211_413# B VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=180000u
X11 VPWR C a_211_413# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=180000u
X12 a_211_413# a_27_413# a_317_47# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X13 a_211_413# D VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=180000u
.ends

sky130_fd_sc_hdll__and4b_4

not to scale


.subckt sky130_fd_sc_hdll__and4b_4 A_N B C D VGND VNB VPB VPWR X
X0 a_27_47# A_N VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=180000u
X1 VGND a_184_21# X VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X2 a_718_47# B a_814_47# VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X3 VPWR a_184_21# X VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X4 VGND a_184_21# X VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X5 a_624_47# C a_718_47# VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X6 a_184_21# C VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X7 VPWR D a_184_21# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X8 X a_184_21# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X9 VGND D a_624_47# VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X10 VPWR a_184_21# X VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X11 a_27_47# A_N VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X12 X a_184_21# VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X13 a_184_21# a_27_47# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X14 a_814_47# a_27_47# a_184_21# VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X15 X a_184_21# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X16 X a_184_21# VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X17 VPWR B a_184_21# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
.ends