NAME

and2 from sky130_fd_sc_hdll

DESCRIPTION

2-input AND.

FUNCTION

VERILOG

"sky130_fd_sc_hdll__and2"
/*
*/


`ifndef SKY130_FD_SC_HDLL__AND2_FUNCTIONAL_V
`define SKY130_FD_SC_HDLL__AND2_FUNCTIONAL_V

/**

`timescale 1ns / 1ps
`default_nettype none

`celldefine
module sky130_fd_sc_hdll__and2 (
    X,
    A,
    B
);

    // Module ports
    output X;
    input  A;
    input  B;

    // Local signals
    wire and0_out_X;

    //  Name  Output      Other arguments
    and and0 (and0_out_X, A, B           );
    buf buf0 (X         , and0_out_X     );

endmodule
`endcelldefine

`default_nettype wire
`endif  // SKY130_FD_SC_HDLL__AND2_FUNCTIONAL_V

SPICE

LAYOUT

sky130_fd_sc_hdll__and2_1

not to scale



.subckt sky130_fd_sc_hdll__and2_1 A B VGND VNB VPB VPWR X
X0 VPWR a_27_75# X VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X1 VPWR A a_27_75# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=180000u
X2 a_27_75# A a_123_75# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X3 VGND a_27_75# X VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X4 a_123_75# B VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X5 a_27_75# B VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=180000u
.ends

sky130_fd_sc_hdll__and2_2

not to scale


.subckt sky130_fd_sc_hdll__and2_2 A B VGND VNB VPB VPWR X
X0 VGND a_27_75# X VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X1 VPWR A a_27_75# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=180000u
X2 a_27_75# A a_123_75# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X3 X a_27_75# VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X4 a_123_75# B VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X5 VPWR a_27_75# X VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X6 X a_27_75# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X7 a_27_75# B VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=180000u
.ends

sky130_fd_sc_hdll__and2_4

not to scale


.subckt sky130_fd_sc_hdll__and2_4 A B VGND VNB VPB VPWR X
X0 VPWR a_27_47# X VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X1 a_120_47# B VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X2 a_27_47# A a_120_47# VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X3 VPWR A a_27_47# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X4 X a_27_47# VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X5 X a_27_47# VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X6 X a_27_47# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X7 VGND a_27_47# X VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X8 VGND a_27_47# X VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X9 a_27_47# B VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X10 VPWR a_27_47# X VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X11 X a_27_47# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
.ends

sky130_fd_sc_hdll__and2_6

not to scale


.subckt sky130_fd_sc_hdll__and2_6 A B VGND VNB VPB VPWR X
X0 VPWR a_117_297# X VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X1 X a_117_297# VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X2 VGND a_117_297# X VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X3 X a_117_297# VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X4 VPWR a_117_297# X VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X5 VPWR B a_117_297# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X6 X a_117_297# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X7 VGND a_117_297# X VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X8 X a_117_297# VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X9 a_117_297# B VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X10 a_117_297# A a_293_47# VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X11 VGND a_117_297# X VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X12 VPWR A a_117_297# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X13 VGND B a_131_47# VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X14 VPWR a_117_297# X VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X15 a_293_47# B VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X16 a_117_297# A VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X17 a_131_47# A a_117_297# VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X18 X a_117_297# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X19 X a_117_297# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
.ends

sky130_fd_sc_hdll__and2_8

not to scale


.subckt sky130_fd_sc_hdll__and2_8 A B VGND VNB VPB VPWR X
X0 VPWR a_117_297# X VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X1 X a_117_297# VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X2 X a_117_297# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X3 VGND a_117_297# X VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X4 X a_117_297# VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X5 VPWR a_117_297# X VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X6 VPWR B a_117_297# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X7 X a_117_297# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X8 VGND a_117_297# X VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X9 X a_117_297# VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X10 VGND a_117_297# X VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X11 a_117_297# B VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X12 a_117_297# A a_293_47# VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X13 VGND a_117_297# X VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X14 VPWR A a_117_297# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X15 VPWR a_117_297# X VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X16 VGND B a_131_47# VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X17 VPWR a_117_297# X VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X18 a_293_47# B VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X19 a_117_297# A VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X20 a_131_47# A a_117_297# VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X21 X a_117_297# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X22 X a_117_297# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X23 X a_117_297# VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
.ends