NAME

a32o from sky130_fd_sc_hdll

DESCRIPTION

3-input AND into first input, and 2-input AND into 2nd input of 2-input OR.

FUNCTION

X = ((A1 & A2 & A3) | (B1 & B2))

VERILOG

"sky130_fd_sc_hdll__a32o"
/*
*/


`ifndef SKY130_FD_SC_HDLL__A32O_FUNCTIONAL_V
`define SKY130_FD_SC_HDLL__A32O_FUNCTIONAL_V

/**

`timescale 1ns / 1ps
`default_nettype none

`celldefine
module sky130_fd_sc_hdll__a32o (
    X ,
    A1,
    A2,
    A3,
    B1,
    B2
);

    // Module ports
    output X ;
    input  A1;
    input  A2;
    input  A3;
    input  B1;
    input  B2;

    // Local signals
    wire and0_out ;
    wire and1_out ;
    wire or0_out_X;

    //  Name  Output     Other arguments
    and and0 (and0_out , A3, A1, A2        );
    and and1 (and1_out , B1, B2            );
    or  or0  (or0_out_X, and1_out, and0_out);
    buf buf0 (X        , or0_out_X         );

endmodule
`endcelldefine

`default_nettype wire
`endif  // SKY130_FD_SC_HDLL__A32O_FUNCTIONAL_V

SPICE

LAYOUT

sky130_fd_sc_hdll__a32o_1

not to scale



.subckt sky130_fd_sc_hdll__a32o_1 A1 A2 A3 B1 B2 VGND VNB VPB VPWR X
X0 a_634_47# B2 VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X1 a_93_21# B2 a_268_297# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X2 X a_93_21# VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X3 X a_93_21# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X4 a_268_297# A2 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X5 a_268_297# B1 a_93_21# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X6 a_366_47# A1 a_93_21# VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X7 a_276_47# A2 a_366_47# VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X8 VPWR A3 a_268_297# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X9 VPWR A1 a_268_297# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X10 VGND A3 a_276_47# VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X11 a_93_21# B1 a_634_47# VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
.ends

sky130_fd_sc_hdll__a32o_2

not to scale


.subckt sky130_fd_sc_hdll__a32o_2 A1 A2 A3 B1 B2 VGND VNB VPB VPWR X
X0 a_319_297# B2 a_21_199# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X1 X a_21_199# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X2 X a_21_199# VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X3 VGND B2 a_382_47# VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X4 VGND a_21_199# X VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X5 a_319_297# A1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X6 a_319_297# A3 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X7 VPWR a_21_199# X VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X8 a_21_199# B1 a_319_297# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X9 VPWR A2 a_319_297# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X10 a_725_47# A3 VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X11 a_21_199# A1 a_589_47# VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X12 a_382_47# B1 a_21_199# VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X13 a_589_47# A2 a_725_47# VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
.ends

sky130_fd_sc_hdll__a32o_4

not to scale


.subckt sky130_fd_sc_hdll__a32o_4 A1 A2 A3 B1 B2 VGND VNB VPB VPWR X
X0 VPWR A3 a_493_297# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X1 a_493_297# A2 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X2 a_485_47# A3 VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X3 a_1194_47# B1 a_79_21# VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X4 a_695_47# A1 a_79_21# VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X5 a_493_297# B2 a_79_21# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X6 VGND B2 a_1194_47# VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X7 VGND a_79_21# X VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X8 a_485_47# A2 a_695_47# VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X9 VPWR a_79_21# X VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X10 VPWR A1 a_493_297# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X11 VGND A3 a_485_47# VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X12 a_79_21# A1 a_695_47# VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X13 X a_79_21# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X14 VPWR a_79_21# X VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X15 a_1194_47# B2 VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X16 a_79_21# B1 a_493_297# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X17 a_79_21# B1 a_1194_47# VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X18 X a_79_21# VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X19 a_493_297# B1 a_79_21# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X20 X a_79_21# VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X21 a_493_297# A1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X22 X a_79_21# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X23 a_79_21# B2 a_493_297# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X24 VGND a_79_21# X VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X25 a_695_47# A2 a_485_47# VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X26 a_493_297# A3 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X27 VPWR A2 a_493_297# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
.ends