NAME

a2bb2oi from sky130_fd_sc_hdll

DESCRIPTION

2-input AND, both inputs inverted, into first input, and 2-input AND into 2nd input of 2-input NOR.

FUNCTION

Y = !((!A1 & !A2) | (B1 & B2))

VERILOG

"sky130_fd_sc_hdll__a2bb2oi"
/*
*/


`ifndef SKY130_FD_SC_HDLL__A2BB2OI_FUNCTIONAL_V
`define SKY130_FD_SC_HDLL__A2BB2OI_FUNCTIONAL_V

/**

`timescale 1ns / 1ps
`default_nettype none

`celldefine
module sky130_fd_sc_hdll__a2bb2oi (
    Y   ,
    A1_N,
    A2_N,
    B1  ,
    B2
);

    // Module ports
    output Y   ;
    input  A1_N;
    input  A2_N;
    input  B1  ;
    input  B2  ;

    // Local signals
    wire and0_out  ;
    wire nor0_out  ;
    wire nor1_out_Y;

    //  Name  Output      Other arguments
    and and0 (and0_out  , B1, B2            );
    nor nor0 (nor0_out  , A1_N, A2_N        );
    nor nor1 (nor1_out_Y, nor0_out, and0_out);
    buf buf0 (Y         , nor1_out_Y        );

endmodule
`endcelldefine

`default_nettype wire
`endif  // SKY130_FD_SC_HDLL__A2BB2OI_FUNCTIONAL_V

SPICE

LAYOUT

sky130_fd_sc_hdll__a2bb2oi_1

not to scale



.subckt sky130_fd_sc_hdll__a2bb2oi_1 A1_N A2_N B1 B2 VGND VNB VPB VPWR Y
X0 a_521_47# B1 VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X1 a_409_297# B2 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X2 Y a_119_47# a_409_297# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X3 VPWR A1_N a_117_297# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X4 VGND a_119_47# Y VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X5 VGND A1_N a_119_47# VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X6 a_119_47# A2_N VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X7 Y B2 a_521_47# VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X8 VPWR B1 a_409_297# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X9 a_117_297# A2_N a_119_47# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
.ends

sky130_fd_sc_hdll__a2bb2oi_2

not to scale


.subckt sky130_fd_sc_hdll__a2bb2oi_2 A1_N A2_N B1 B2 VGND VNB VPB VPWR Y
X0 a_27_297# a_455_21# Y VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X1 a_695_297# A1_N VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X2 Y B2 a_119_47# VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X3 Y a_455_21# VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X4 VGND A2_N a_455_21# VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X5 a_455_21# A2_N VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X6 a_455_21# A1_N VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X7 a_27_297# B1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X8 a_455_21# A2_N a_695_297# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X9 VGND a_455_21# Y VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X10 VPWR B1 a_27_297# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X11 a_27_297# B2 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X12 a_119_47# B1 VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X13 a_119_47# B2 Y VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X14 a_695_297# A2_N a_455_21# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X15 VGND B1 a_119_47# VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X16 VPWR B2 a_27_297# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X17 Y a_455_21# a_27_297# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X18 VPWR A1_N a_695_297# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X19 VGND A1_N a_455_21# VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
.ends

sky130_fd_sc_hdll__a2bb2oi_4

not to scale


.subckt sky130_fd_sc_hdll__a2bb2oi_4 A1_N A2_N B1 B2 VGND VNB VPB VPWR Y
X0 a_27_297# B2 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X1 VGND B1 a_109_47# VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X2 a_109_47# B2 Y VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X3 a_1259_297# A1_N VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X4 Y a_831_21# a_27_297# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X5 VPWR A1_N a_1259_297# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X6 a_27_297# a_831_21# Y VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X7 VPWR A1_N a_1259_297# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X8 a_27_297# B1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X9 VPWR B1 a_27_297# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X10 Y B2 a_109_47# VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X11 Y a_831_21# VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X12 a_831_21# A2_N VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X13 Y B2 a_109_47# VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X14 VPWR B2 a_27_297# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X15 a_831_21# A2_N a_1259_297# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X16 a_27_297# B1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X17 a_1259_297# A2_N a_831_21# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X18 a_27_297# a_831_21# Y VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X19 a_831_21# A2_N VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X20 a_1259_297# A1_N VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X21 VGND a_831_21# Y VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X22 a_831_21# A1_N VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X23 a_109_47# B1 VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X24 a_27_297# B2 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X25 VGND a_831_21# Y VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X26 a_831_21# A1_N VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X27 a_109_47# B2 Y VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X28 VGND A1_N a_831_21# VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X29 VPWR B1 a_27_297# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X30 a_831_21# A2_N a_1259_297# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X31 VGND B1 a_109_47# VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X32 Y a_831_21# VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X33 Y a_831_21# a_27_297# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X34 a_1259_297# A2_N a_831_21# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X35 VGND A2_N a_831_21# VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X36 VGND A1_N a_831_21# VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X37 VPWR B2 a_27_297# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X38 a_109_47# B1 VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X39 VGND A2_N a_831_21# VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
.ends