NAME

a2bb2o from sky130_fd_sc_hdll

DESCRIPTION

2-input AND, both inputs inverted, into first input, and 2-input AND into 2nd input of 2-input OR.

FUNCTION

X = ((!A1 & !A2) | (B1 & B2))

VERILOG

"sky130_fd_sc_hdll__a2bb2o"
/*
*/


`ifndef SKY130_FD_SC_HDLL__A2BB2O_FUNCTIONAL_V
`define SKY130_FD_SC_HDLL__A2BB2O_FUNCTIONAL_V

/**

`timescale 1ns / 1ps
`default_nettype none

`celldefine
module sky130_fd_sc_hdll__a2bb2o (
    X   ,
    A1_N,
    A2_N,
    B1  ,
    B2
);

    // Module ports
    output X   ;
    input  A1_N;
    input  A2_N;
    input  B1  ;
    input  B2  ;

    // Local signals
    wire and0_out ;
    wire nor0_out ;
    wire or0_out_X;

    //  Name  Output     Other arguments
    and and0 (and0_out , B1, B2            );
    nor nor0 (nor0_out , A1_N, A2_N        );
    or  or0  (or0_out_X, nor0_out, and0_out);
    buf buf0 (X        , or0_out_X         );

endmodule
`endcelldefine

`default_nettype wire
`endif  // SKY130_FD_SC_HDLL__A2BB2O_FUNCTIONAL_V

SPICE

LAYOUT

sky130_fd_sc_hdll__a2bb2o_1

not to scale



.subckt sky130_fd_sc_hdll__a2bb2o_1 A1_N A2_N B1 B2 VGND VNB VPB VPWR X
X0 a_525_413# B2 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=180000u
X1 a_79_21# a_243_47# a_525_413# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=180000u
X2 X a_79_21# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X3 a_241_297# A2_N a_243_47# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=180000u
X4 VGND A1_N a_243_47# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X5 VPWR A1_N a_241_297# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=180000u
X6 a_243_47# A2_N VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X7 a_79_21# B2 a_611_47# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X8 a_611_47# B1 VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X9 X a_79_21# VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X10 VPWR B1 a_525_413# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=180000u
X11 VGND a_243_47# a_79_21# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
.ends

sky130_fd_sc_hdll__a2bb2o_2

not to scale


.subckt sky130_fd_sc_hdll__a2bb2o_2 A1_N A2_N B1 B2 VGND VNB VPB VPWR X
X0 VGND A1_N a_343_47# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X1 a_341_297# A2_N a_343_47# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=180000u
X2 a_82_21# B2 a_696_47# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X3 a_82_21# a_343_47# a_622_369# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=180000u
X4 VGND a_343_47# a_82_21# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X5 VPWR a_82_21# X VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X6 VPWR B1 a_622_369# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=180000u
X7 a_622_369# B2 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=180000u
X8 X a_82_21# VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X9 a_696_47# B1 VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X10 VGND a_82_21# X VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X11 a_343_47# A2_N VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X12 VPWR A1_N a_341_297# VPB sky130_fd_pr__pfet_01v8_hvt w=640000u l=180000u
X13 X a_82_21# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
.ends

sky130_fd_sc_hdll__a2bb2o_4

not to scale


.subckt sky130_fd_sc_hdll__a2bb2o_4 A1_N A2_N B1 B2 VGND VNB VPB VPWR X
X0 a_27_297# a_455_21# a_203_47# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X1 VPWR A1_N a_785_297# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X2 a_203_47# B2 a_119_47# VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X3 X a_203_47# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X4 a_455_21# A1_N VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X5 a_27_297# B1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X6 a_785_297# A1_N VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X7 VGND a_455_21# a_203_47# VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X8 VPWR B1 a_27_297# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X9 a_455_21# A2_N VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X10 X a_203_47# VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X11 a_27_297# B2 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X12 X a_203_47# VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X13 VPWR a_203_47# X VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X14 a_119_47# B1 VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X15 X a_203_47# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X16 a_119_47# B2 a_203_47# VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X17 a_455_21# A2_N a_785_297# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X18 VGND B1 a_119_47# VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X19 VPWR B2 a_27_297# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X20 VGND A1_N a_455_21# VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X21 VGND a_203_47# X VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X22 a_203_47# a_455_21# VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X23 VPWR a_203_47# X VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X24 VGND A2_N a_455_21# VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X25 VGND a_203_47# X VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X26 a_203_47# a_455_21# a_27_297# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X27 a_785_297# A2_N a_455_21# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
.ends