NAME

a21bo from sky130_fd_sc_hdll

DESCRIPTION

2-input AND into first input of 2-input OR, 2nd input inverted.

FUNCTION

X = ((A1 & A2) | (!B1_N))

VERILOG

"sky130_fd_sc_hdll__a21bo"
/*
*/


`ifndef SKY130_FD_SC_HDLL__A21BO_FUNCTIONAL_V
`define SKY130_FD_SC_HDLL__A21BO_FUNCTIONAL_V

/**

`timescale 1ns / 1ps
`default_nettype none

`celldefine
module sky130_fd_sc_hdll__a21bo (
    X   ,
    A1  ,
    A2  ,
    B1_N
);

    // Module ports
    output X   ;
    input  A1  ;
    input  A2  ;
    input  B1_N;

    // Local signals
    wire nand0_out  ;
    wire nand1_out_X;

    //   Name   Output       Other arguments
    nand nand0 (nand0_out  , A2, A1         );
    nand nand1 (nand1_out_X, B1_N, nand0_out);
    buf  buf0  (X          , nand1_out_X    );

endmodule
`endcelldefine

`default_nettype wire
`endif  // SKY130_FD_SC_HDLL__A21BO_FUNCTIONAL_V

SPICE

LAYOUT

sky130_fd_sc_hdll__a21bo_1

not to scale



.subckt sky130_fd_sc_hdll__a21bo_1 A1 A2 B1_N VGND VNB VPB VPWR X
X0 a_27_413# B1_N VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=180000u
X1 a_412_47# A2 VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X2 VPWR a_235_297# X VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X3 a_235_297# a_27_413# a_326_297# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X4 VGND a_27_413# a_235_297# VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X5 a_27_413# B1_N VGND VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X6 VGND a_235_297# X VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X7 a_326_297# A1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X8 VPWR A2 a_326_297# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X9 a_235_297# A1 a_412_47# VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
.ends

sky130_fd_sc_hdll__a21bo_2

not to scale


.subckt sky130_fd_sc_hdll__a21bo_2 A1 A2 B1_N VGND VNB VPB VPWR X
X0 VPWR a_79_21# X VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X1 a_621_47# A2 VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X2 a_79_21# a_317_93# a_523_297# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X3 a_523_297# A1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X4 a_79_21# A1 a_621_47# VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X5 VGND a_317_93# a_79_21# VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X6 VGND B1_N a_317_93# VNB sky130_fd_pr__nfet_01v8 w=420000u l=150000u
X7 VGND a_79_21# X VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X8 X a_79_21# VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X9 X a_79_21# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X10 VPWR B1_N a_317_93# VPB sky130_fd_pr__pfet_01v8_hvt w=420000u l=180000u
X11 VPWR A2 a_523_297# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
.ends

sky130_fd_sc_hdll__a21bo_4

not to scale


.subckt sky130_fd_sc_hdll__a21bo_4 A1 A2 B1_N VGND VNB VPB VPWR X
X0 VGND a_36_47# a_209_21# VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X1 a_36_47# B1_N VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X2 VPWR a_209_21# X VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X3 X a_209_21# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X4 VPWR A1 a_647_297# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X5 a_1115_47# A2 VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X6 a_647_297# A2 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X7 a_935_47# A1 a_209_21# VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X8 a_209_21# A1 a_1115_47# VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X9 VGND a_209_21# X VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X10 a_36_47# B1_N VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X11 a_647_297# a_36_47# a_209_21# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X12 VPWR A2 a_647_297# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X13 a_209_21# a_36_47# VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X14 a_647_297# A1 VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X15 X a_209_21# VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X16 VGND A2 a_935_47# VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X17 a_209_21# a_36_47# a_647_297# VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X18 VGND a_209_21# X VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
X19 X a_209_21# VPWR VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X20 VPWR a_209_21# X VPB sky130_fd_pr__pfet_01v8_hvt w=1e+06u l=180000u
X21 X a_209_21# VGND VNB sky130_fd_pr__nfet_01v8 w=650000u l=150000u
.ends