NAME

fill from sky130_fd_sc_hd

DESCRIPTION

Fill cell.

FUNCTION

VERILOG

"sky130_fd_sc_hd__fill"
/*
*/


`ifndef SKY130_FD_SC_HD__FILL_FUNCTIONAL_V
`define SKY130_FD_SC_HD__FILL_FUNCTIONAL_V

/**

`timescale 1ns / 1ps
`default_nettype none

`celldefine
module sky130_fd_sc_hd__fill ();

    // Module supplies
    supply1 VPWR;
    supply0 VGND;
    supply1 VPB ;
    supply0 VNB ;
     // No contents.
endmodule
`endcelldefine

`default_nettype wire
`endif  // SKY130_FD_SC_HD__FILL_FUNCTIONAL_V

SPICE

LAYOUT

sky130_fd_sc_hd__fill_1

not to scale



.subckt sky130_fd_sc_hd__fill_1 VGND VNB VPB VPWR
.ends

sky130_fd_sc_hd__fill_2

not to scale


.subckt sky130_fd_sc_hd__fill_2 VGND VNB VPB VPWR
.ends

sky130_fd_sc_hd__fill_4

not to scale


.subckt sky130_fd_sc_hd__fill_4 VGND VNB VPB VPWR
.ends

sky130_fd_sc_hd__fill_8

not to scale


.subckt sky130_fd_sc_hd__fill_8 VGND VNB VPB VPWR
.ends